Are you dreaming of growing as a Verification Expert?

Are you dreaming of growing as a Verification Expert?

Have you been searching for an industry expert who can show you the path to become a verification expert? Don’t worry, now I am available online as your trainer and coach to transform you as a verification expert. Yes, I am so glad to inform you that I have published another amazing online course – VLSI Verification for the young aspirants like you who want deal with design verification and grow as a verification expert in the semiconductor industry. This is the best gift I can give you, while wishing you a very happy and prosperous new year 2020. It reflects how much I love you and enjoy my journey at Maven Silicon. You have always been a great source of motivation to me to work very hard and do more for our engineers’ community.

My first online VLSI Design course has become one of the best online VLSI courses in India and we have acquired thousands of active learners in the first year itself. Thank you very much for your love and support. Also, now I am super excited to see all our online courses available globally. Now anyone can learn VLSI from anywhere on this planet.

Please feel free to explore all our online courses at: https://www.maven-silicon.com/online-vlsi-design-course

I am always a big fan of publishing online courses than textbooks. Actually, it demands 4X efforts of writing textbooks. It’s unique. No one offers this kind of high-quality verification course. It’s not just a marketing hype and you will definitely agree with me while reading the USP of this new online VLSI verification course.

Textbooks: The textbooks that are available in the market explains SystemVerilog language concepts with various small examples as bits and pieces, but in this course, I show you how you can use all the language features to create a class-based verification environment using OOP. Also, we use a standard TB architecture which is based on UVM framework and explain the implementation flow and process. So, this course is very different from the textbooks and other online courses available in the market.

Online Courses: There have been many similar online courses published by various vendors, but mostly they are PPT slide show recorded with voice as videos. But our online course videos have always been very interactive and engaging as a face to face interaction. I am the author, director, editor and publisher. It was very difficult to stand in-front of the camera and explain lively with voice modulations, but I enjoyed playing multiple roles while making the videos. Trust me, you will enjoy this course as a live 1:1 face to face discussion with me.

Course Flow: This VLSI Verification course is composed of three major modules, Verification Methodologies, SystemVerilog and UVM. It starts with a good overview of all the verification methodologies we use in the industry, then it will walk you through all the SystemVerilog language concepts and features in detail and finally it ends us giving you a good overview of UVM.  Try the demo video and free pre-view at: https://elearn.maven-silicon.com/vlsi-verification-systemverilog-uvm

Case Studies: Young engineers and HDL designers always struggle to catch up with SystemVerilog concepts. So, I have created two case studies, one is a small design IP which is used to explain all the language features in detail and the other one is an SOC which is used to explain the concepts like OOP, interfaces, verification scenarios, etc. This SOC example will help you to understand how we can use SystemVerilog language features smartly to deal with the design complexity and do our verification job efficiently.

Live Q&A: The complete SystemVerilog source code of case studies will be explained in detail during weekly Live Q&A.  Also, you can interact with me during this live Q&A session and discuss all your doubts. It’s a great opportunity for us to interact and exchange our ideas.

Quiz and Certification: Every module ends up with a quiz. It helps you to check whether you have assimilated the concepts. You can always replay the videos and watch them multiple times till you understand the concepts very well. Finally, you can generate the course completion certificate signed by me and use it for your career development.

Reference Material: You get the free reference material from Maven Silicon. You can use it for your personal reference and refer anytime.

You can visit our Maven Elearn portal and preview all our courses for free of cost. If you like, you can register for the course and enjoy learning SystemVerilog. Have fun!

Finally, I would like to thank my team and family members who helped me so much to publish this amazing online course. Also, thank you so much for your continuous encouragement and love.

Avatar photo

Founder & CEO
Sivakumar P R is the Founder and CEO of Maven Silicon. He is responsible for the company's vision, business, and technology. Sivakumar is a seasoned engineering professional who has worked in various fields, including electrical engineering, academia, and semiconductors for more than 25 years. Before founding Maven Silicon, he worked in the top EDA companies Synopsys, Cadence and Siemens EDA as a verification consultant.